Æȷοì
Mikko Lipasti
Mikko Lipasti
Professor, Electrical and Computer Engineering, University of Wisconsin-Madison
engr.wisc.eduÀÇ À̸ÞÀÏ È®ÀÎµÊ - ȨÆäÀÌÁö
Á¦¸ñ
Àοë
Àοë
¿¬µµ
Value locality and load value prediction
MH Lipasti, CB Wilkerson, JP Shen
Proceedings of the seventh international conference on Architectural support ¡¦, 1996
9551996
Exceeding the dataflow limit via value prediction
MH Lipasti, JP Shen
Proceedings of the 29th Annual IEEE/ACM International Symposium on ¡¦, 1996
7441996
Modern processor design: fundamentals of superscalar processors
JP Shen, MH Lipasti
Waveland Press, 2013
6082013
Virtual circuit tree multicasting: A case for on-chip hardware multicast support
NE Jerger, LS Peh, M Lipasti
ACM SIGARCH Computer Architecture News 36 (3), 229-240, 2008
3122008
Scarab: A single cycle adaptive routing and bufferless network
M Hayenga, NE Jerger, M Lipasti
Proceedings of the 42nd annual IEEE/ACM international symposium on ¡¦, 2009
2082009
Achieving predictable performance through better memory controller placement in many-core CMPs
D Abts, ND Enright Jerger, J Kim, D Gibson, MH Lipasti
ACM SIGARCH Computer Architecture News 37 (3), 451-461, 2009
2052009
Circuit-switched coherence
NDE Jerger, LS Peh, MH Lipasti
Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), 193-202, 2008
1912008
An architectural evaluation of Java TPC-W
HW Cain, R Rajwar, M Marden, MH Lipasti
Proceedings HPCA Seventh International Symposium on High-Performance ¡¦, 2001
1892001
On the value locality of store instructions
KM Lepak, MH Lipasti
Proceedings of the 27th annual international symposium on Computer ¡¦, 2000
1772000
SPAID: Software prefetching in pointer-and call-intensive environments
MH Lipasti, WJ Schmidt, SR Kunkel, RR Roediger
Proceedings of the 28th annual international symposium on Microarchitecture ¡¦, 1995
1761995
Improving multiprocessor performance with coarse-grain coherence tracking
JF Cantin, MH Lipasti, JE Smith
32nd International Symposium on Computer Architecture (ISCA'05), 246-257, 2005
1562005
Light speed arbitration and flow control for nanophotonic interconnects
D Vantrease, N Binkert, R Schreiber, MH Lipasti
Proceedings of the 42nd Annual IEEE/ACM International Symposium on ¡¦, 2009
1542009
BenchNN: On the broad potential application scope of hardware neural network accelerators
T Chen, Y Chen, M Duranton, Q Guo, A Hashmi, M Lipasti, A Nere, S Qiu, ...
2012 IEEE International Symposium on Workload Characterization (IISWC), 36-45, 2012
1362012
Precise and accurate processor simulation
HW Cain, KM Lepak, BA Schwartz, MH Lipasti
Workshop on Computer Architecture Evaluation using Commercial Workloads, HPCA 8, 2002
1302002
Superspeculative microarchitecture for beyond AD 2000
MH Lipasti, JP Shen
Computer 30 (9), 59-66, 1997
1301997
Silent stores for free
KM Lepak, MH Lipasti
Proceedings of the 33rd annual ACM/IEEE international symposium on ¡¦, 2000
1292000
Physical register inlining
MH Lipasti, BR Mestan, E Gunadi
ACM SIGARCH Computer Architecture News 32 (2), 325, 2004
1172004
Memory ordering: A value-based approach
HW Cain, MH Lipasti
ACM SIGARCH Computer Architecture News 32 (2), 90, 2004
1132004
Half-price architecture
I Kim, MH Lipasti
Proceedings of the 30th annual international symposium on Computer ¡¦, 2003
1042003
Value locality and speculative execution
MH Lipasti
Carnegie Mellon University, 1997
1031997
ÇöÀç ½Ã½ºÅÛÀÌ ÀÛµ¿µÇÁö ¾Ê½À´Ï´Ù. ³ªÁß¿¡ ´Ù½Ã ½ÃµµÇØ ÁÖ¼¼¿ä.
ÇмúÀÚ·á 1–20