Follow
Ataberk Olgun
Title
Cited by
Cited by
Year
Blockhammer: Preventing rowhammer at low cost by blacklisting rapidly-accessed dram rows
AG Yağlikçi, M Patel, JS Kim, R Azizi, A Olgun, L Orosa, H Hassan, J Park, ...
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
1112021
GenStore: a high-performance in-storage processing system for genome sequence analysis
N Mansouri Ghiasi, J Park, H Mustafa, J Kim, A Olgun, A Gollwitzer, ...
Proceedings of the 27th ACM International Conference on Architectural …, 2022
80*2022
A Deeper Look into RowHammer’s Sensitivities: Experimental Analysis of Real DRAM Chips and Implications on Future Attacks and Defenses
L Orosa, AG Yaglikci, H Luo, A Olgun, J Park, H Hassan, M Patel, JS Kim, ...
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
772021
QUAC-TRNG: High-throughput true random number generation using quadruple row activation in commodity DRAM chips
A Olgun, M Patel, AG Yağlıkçı, H Luo, JS Kim, FN Bostancı, N Vijaykumar, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
662021
Understanding RowHammer Under Reduced Wordline Voltage: An Experimental Study Using Real DRAM Devices
AG Yağlıkçı, H Luo, GF De Oliviera, A Olgun, M Patel, J Park, H Hassan, ...
2022 52nd Annual IEEE/IFIP International Conference on Dependable Systems …, 2022
432022
PiDRAM: A Holistic End-to-end FPGA-based Framework for Processing-in-DRAM
A Olgun, JG Luna, K Kanellopoulos, B Salami, H Hassan, O Ergin, ...
ACM Transactions on Architecture and Code Optimization 20 (1), 1-31, 2022
412022
RowPress: Amplifying Read Disturbance in Modern DRAM Chips
H Luo, A Olgun, AG Yağlıkçı, YC Tuğrul, S Rhyner, MB Cavlak, ...
Proceedings of the 50th Annual International Symposium on Computer …, 2023
372023
HiRA: Hidden Row Activation for Reducing Refresh Latency of Off-the-Shelf DRAM Chips
AG Yağlikçi, A Olgun, M Patel, H Luo, H Hassan, L Orosa, O Ergin, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 815-834, 2022
372022
Fundamentally understanding and solving rowhammer
O Mutlu, A Olgun, AG Yağlıkcı
Proceedings of the 28th Asia and South Pacific Design Automation Conference …, 2023
332023
DRAM Bender: An Extensible and Versatile FPGA-based Infrastructure to Easily Test State-of-the-art DRAM Chips
A Olgun, H Hassan, AG Yağlıkçı, YC Tuğrul, L Orosa, H Luo, M Patel, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
222023
DR-STRaNGe: End-to-End System Design for DRAM-based True Random Number Generators
F Nisa Bostancı, A Olgun, L Orosa, A Giray Yağlıkçı, JS Kim, H Hassan, ...
arXiv e-prints, arXiv: 2201.01385, 2022
22*2022
SpyHammer: Understanding and Exploiting RowHammer under Fine-Grained Temperature Variations
L Orosa, U Rührmair, AG Yagӏıkçı, H Luo, A Olgun, P Jattke, M Patel, ...
IEEE Access, 2024
20*2024
Hermes: Accelerating Long-Latency Load Requests via Perceptron-Based Off-Chip Load Prediction
R Bera, K Kanellopoulos, S Balachandran, D Novo, A Olgun, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 1-18, 2022
192022
An Experimental Analysis of RowHammer in HBM2 DRAM Chips
A Olgun, M Osseiran, AG Yağlıkçı, YC Tuğrul, H Luo, S Rhyner, B Salami, ...
2023 53rd Annual IEEE/IFIP International Conference on Dependable Systems …, 2023
172023
A Case for Transparent Reliability in DRAM Systems
M Patel, T Shahroodi, A Manglik, AG Yaglikci, A Olgun, H Luo, O Mutlu
arXiv preprint arXiv:2204.10378, 2022
142022
A Case for Self-Managing DRAM Chips: Improving Performance, Efficiency, Reliability, and Security via Autonomous in-DRAM Maintenance Operations
H Hassan, A Olgun, AG Yaglikci, H Luo, O Mutlu
arXiv preprint arXiv:2207.13358, 2022
122022
ABACuS: All-Bank Activation Counters for Scalable and Low Overhead RowHammer Mitigation
A Olgun, YC Tugrul, N Bostanci, IE Yuksel, AG Yaglikci, H Luo, S Rhyner, ...
92023
MetaSys: A Practical Open-source Metadata Management System to Implement and Evaluate Cross-layer Optimizations
N Vijaykumar, A Olgun, K Kanellopoulos, FN Bostanci, H Hassan, M Lotfi, ...
ACM Transactions on Architecture and Code Optimization (TACO) 19 (2), 1-29, 2022
72022
Functionally-Complete Boolean Logic in Real DRAM Chips: Experimental Characterization and Analysis
İE Yüksel, YC Tuğrul, A Olgun, FN Bostancı, AG Yağlıkçı, GF Oliveira, ...
2024 IEEE International Symposium on High-Performance Computer Architecture …, 2024
52024
MIMDRAM: An End-to-End Processing-Using-DRAM System for High-Throughput, Energy-Efficient and Programmer-Transparent Multiple-Instruction Multiple-Data Computing
GF Oliveira, A Olgun, AG Yağlıkçı, FN Bostancı, J Gómez-Luna, S Ghose, ...
2024 IEEE International Symposium on High-Performance Computer Architecture …, 2024
52024
The system can't perform the operation now. Try again later.
Articles 1–20