Follow
Arvind Singh
Arvind Singh
Rambus Cryptography Research
Verified email at rambus.com
Title
Cited by
Cited by
Year
Improved Power/EM Side-Channel Attack Resistance of 128-Bit AES Engines With Random Fast Voltage Dithering
A Singh, M Kar, SK Mathew, A Rajan, V De, S Mukhopadhyay
IEEE Journal of Solid-State Circuits, 2018
882018
Improved power side channel attack resistance of a 128-bit AES engine with random fast voltage dithering
A Singh, M Kar, SK Mathew, A Rajan, V De, S Mukhopadhyay
ESSCIRC 2017 - 43rd IEEE European Solid State Circuits Conference, 51-54, 2017
882017
8.1 Improved power-side-channel-attack resistance of an AES-128 core via a security-aware integrated buck voltage regulator
M Kar, A Singh, S Mathew, A Rajan, V De, S Mukhopadhyay
2017 IEEE International Solid-State Circuits Conference (ISSCC), 142-143, 2017
642017
Reducing power side-channel information leakage of AES engines using fully integrated inductive voltage regulator
M Kar, A Singh, SK Mathew, A Rajan, V De, S Mukhopadhyay
IEEE Journal of Solid-State Circuits 53 (8), 2399-2414, 2018
562018
Energy efficient and side-channel secure cryptographic hardware for IoT-edge nodes
A Singh, N Chawla, JH Ko, M Kar, S Mukhopadhyay
IEEE Internet of Things Journal 6 (1), 421-434, 2018
522018
Architecture, chip, and package co-design flow for 2.5 D IC design enabling heterogeneous IP reuse
J Kim, G Murali, H Park, E Qin, H Kwon, V Chaitanya, K Chekuri, N Dasari, ...
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
482019
25.3 A 128b AES Engine with Higher Resistance to Power and Electromagnetic Side-Channel Attacks Enabled by a Security-Aware Integrated All-Digital Low-Dropout Regulator
A Singh, M Kar, S Mathew, A Rajan, V De, S Mukhopadhyay
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 404-406, 2019
462019
Design of high efficiency integrated voltage regulators with embedded magnetic core inductors
S Mueller, KZ Ahmed, A Singh, AK Davis, S Mukhopadyay, ...
2016 IEEE 66th Electronic Components and Technology Conference (ECTC), 566-573, 2016
452016
Architecture, Chip, and Package Codesign Flow for Interposer-Based 2.5-D Chiplet Integration Enabling Heterogeneous IP Reuse
J Kim, G Murali, H Park, E Qin, H Kwon, VCK Chekuri, NM Rahman, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (11 …, 2020
442020
An All-Digital Fully Integrated Inductive Buck Regulator With A 250-MHz Multi-Sampled Compensator and a Lightweight Auto-Tuner in 130-nm CMOS
M Kar, A Singh, A Rajan, V De, S Mukhopadhyay
IEEE Journal of Solid-State Circuits 52 (7), 1825-1835, 2017
432017
Enhanced Power and Electromagnetic SCA Resistance of Encryption Engines via a Security-Aware Integrated All-Digital LDO
A Singh, M Kar, VCK Chekuri, SK Mathew, A Rajan, V De, ...
IEEE Journal of Solid-State Circuits 55 (2), 478-493, 2019
412019
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators
A Singh, M Kar, JH Ko, S Mukhopadhyay
2015 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2015
342015
Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines
M Kar, A Singh, S Mathew, A Rajan, V De, S Mukhopadhyay
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
262016
Integrated all-digital low-dropout regulator as a countermeasure to power attack in encryption engines
A Singh, M Kar, A Rajan, V De, S Mukhopadhyay
2016 IEEE International Symposium on Hardware Oriented Security and Trust …, 2016
232016
Application Inference using Machine Learning based Side Channel Analysis
N Chawla, A Singh, M Kar, S Mukhopadhyay
2019 International Joint Conference on Neural Networks (IJCNN), 1-8, 2019
222019
A spectral convolutional net for co-optimization of integrated voltage regulators and embedded inductors
HM Torun, H Yu, N Dasari, VCK Chekuri, A Singh, J Kim, SK Lim, ...
2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2019
212019
A Digital Low-Dropout Regulator With Autotuned PID Compensator and Dynamic Gain Control for Improved Transient Performance Under Process Variations and Aging
A Singh, M Kar, VCK Chekuri, SK Mathew, A Rajan, V De, ...
IEEE Transactions on Power Electronics 35 (3), 3242-3253, 2019
202019
Exploiting on-chip power management for side-channel security
A Singh, M Kar, S Mathew, A Rajan, V De, S Mukhopadhyay
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 401-406, 2018
132018
Securing IoT Devices using Dynamic Power Management: Machine Learning Approach
N Chawla, A Singh, H Kumar, M Kar, S Mukhopadhyay
IEEE Internet of Things Journal, 2020
122020
Blindsight: Blinding EM Side-Channel Leakage using Built-In Fully Integrated Inductive Voltage Regulator
M Kar, A Singh, S Mathew, S Ghosh, A Rajan, V De, R Beyah, ...
arXiv preprint arXiv:1802.09096, 2018
122018
The system can't perform the operation now. Try again later.
Articles 1–20