Follow
Yuan Wang
Yuan Wang
Verified email at pku.edu.cn
Title
Cited by
Cited by
Year
An fpga-based reconfigurable cnn accelerator for yolo
S Zhang, J Cao, Q Zhang, Q Zhang, Y Zhang, Y Wang
2020 IEEE 3rd International Conference on Electronics Technology (ICET), 74-78, 2020
762020
A 64K-neuron 64M-1b-synapse 2.64 pJ/SOP neuromorphic chip with all memory on chip for spike-based models in 65nm CMOS
Y Kuang, X Cui, Y Zhong, K Liu, C Zou, Z Dai, Y Wang, D Yu, R Huang
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (7), 2655-2659, 2021
412021
TD-SRAM: Time-domain-based in-memory computing macro for binary neural networks
J Song, Y Wang, M Guo, X Ji, K Cheng, Y Hu, X Tang, R Wang, R Huang
IEEE Transactions on Circuits and Systems I: Regular Papers 68 (8), 3377-3387, 2021
362021
A reliable true random number generator based on novel chaotic ring oscillator
Y Yang, S Jia, Y Wang, S Zhang, C Liu
2017 IEEE international symposium on circuits and systems (ISCAS), 1-4, 2017
272017
When sorting network meets parallel bitstreams: A fault-tolerant parallel ternary neural network accelerator based on stochastic computing
Y Zhang, S Lin, R Wang, Y Wang, Y Wang, W Qian, R Huang
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2020
222020
Parallel hybrid stochastic-binary-based neural network accelerators
Y Zhang, R Wang, X Zhang, Y Wang, R Huang
IEEE Transactions on Circuits and Systems II: Express Briefs 67 (12), 3387-3391, 2020
192020
Transient and static hybrid-triggered active clamp design for power-rail ESD protection
G Lu, Y Wang, X Zhang
IEEE transactions on electron devices 63 (12), 4654-4660, 2016
182016
Parallel convolutional neural network (CNN) accelerators based on stochastic computing
Y Zhang, X Zhang, J Song, Y Wang, R Huang, R Wang
2019 IEEE International Workshop on Signal Processing Systems (SiPS), 19-24, 2019
172019
A parallel bitstream generator for stochastic computing
Y Zhang, R Wang, X Zhang, Z Zhang, J Song, Z Zhang, Y Wang, R Huang
2019 Silicon Nanoelectronics Workshop (SNW), 1-2, 2019
172019
Low-leakage ESD power clamp design with adjustable triggering voltage for nanoscale applications
G Lu, Y Wang, Y Wang, X Zhang
IEEE transactions on electron devices 64 (9), 3569-3575, 2017
172017
Study of LDMOS-SCR: A high voltage ESD protection device
P Zhang, Y Wang, S Jia, X Zhang
2010 10th IEEE International Conference on Solid-State and Integrated …, 2010
172010
A 65nm 73Kb SRAM-Based Computing-In-Memory Macro with Dynamic-Sparsity Controlling
X Qiao, J Song, X Tang, H Luo, N Pan, X Cui, R Wang, Y Wang
IEEE Transactions on Circuits and Systems II: Express Briefs 69 (6), 2977-2981, 2022
152022
Analysis of LDMOS-SCR ESD protection device for 60V SOI BCD technology
P Zhang, Y Wang, S Jia, X Zhang
2010 IEEE international conference of electron devices and solid-state …, 2010
152010
Design of high-performance voltage regulators based on frequency-dependent feedback factor
L Shen, Z Yan, X Zhang, Y Zhao, Y Wang
2007 IEEE International Symposium on Circuits and Systems (ISCAS), 3828-3831, 2007
152007
New insights into the near-threshold design in nanoscale FinFET technology for sub-0.2 V applications
X Jiang, S Guo, R Wang, Y Wang, X Wang, B Cheng, A Asenov, R Huang
2016 IEEE International Electron Devices Meeting (IEDM), 28.4. 1-28.4. 4, 2016
142016
Novel silicon-controlled rectifier (SCR) for digital and high-voltage ESD power supply clamp
P Zhang, Y Wang, X Zhang, XH Ma, Y Hao
Science China Information Sciences 57, 1-6, 2014
142014
A new design of mixed-voltage I/O buffers with low-voltage-thin-oxide CMOS process
G Liu, Y Wang, S Jia
2007 7th International Conference on ASIC, 201-204, 2007
142007
Low‐power, high‐speed dual modulus prescalers based on branch‐merged true single‐phase clocked scheme
S Jia, S Yan, Y Wang, G Zhang
Electronics Letters 51 (6), 464-465, 2015
132015
Design of novel, semi-transparent flip-flops (STFF) for high speed and low power application
X Li, S Jia, L Liu, Y Wang, G Zhang
Science China Information Sciences 55, 2390-2398, 2012
132012
A novel multi-finger layout strategy for GGnMOS ESD protection device
P Zhang, Y Wang, S Jia, X Zhang
2011 9th IEEE International Conference on ASIC, 275-278, 2011
132011
The system can't perform the operation now. Try again later.
Articles 1–20