팔로우
Sang Hoon Shin
Sang Hoon Shin
Principal Design Engineer, Micron Technology Inc.
ieee.org의 이메일 확인됨
제목
인용
인용
연도
A 1.2 V 20 nm 307 GB/s HBM DRAM with at-speed wafer-level IO test scheme and adaptive refresh considering temperature distribution
K Sohn, WJ Yun, R Oh, CS Oh, SY Seo, MS Park, DH Shin, WC Jung, ...
IEEE Journal of Solid-State Circuits 52 (1), 250-260, 2016
952016
A 1.2 V 20 nm 307 GB/s HBM DRAM with at-speed wafer-level IO test scheme and adaptive refresh considering temperature distribution
K Sohn, WJ Yun, R Oh, CS Oh, SY Seo, MS Park, DH Shin, WC Jung, ...
2016 IEEE International Solid-State Circuits Conference (ISSCC), 316-317, 2016
952016
A 0.1-to-1.5 GHz 4.2 mW all-digital DLL with dual duty-cycle correction circuit and update gear circuit for DRAM in 66nm CMOS technology
WJ Yun, HW Lee, D Shin, SD Kang, JY Yang, HO Lee, DU Lee, S Sim, ...
2008 IEEE International Solid-State Circuits Conference-Digest of Technical …, 2008
412008
Semiconductor device having a plurality of repair fuse units
J Lee, H Lee, S Shin, H Choi
US Patent 8,110,892, 2012
172012
Semiconductor device having a plurality of repair fuse units
JW Lee, HD Lee, SH Shin, HH Choi
US Patent 8,698,276, 2014
142014
Multi-slew-rate output driver and optimized impedance-calibration circuit for 66nm 3.0 Gb/s/pin DRAM interface
DU Lee, SD Kang, NK Park, HW Lee, YK Choi, JW Lee, SW Kwack, ...
2008 IEEE International Solid-State Circuits Conference-Digest of Technical …, 2008
142008
Memory device having a shareable error correction code cell array
HJ Kim, KIM Soo-Hyeong, S Shin, J Ju-Yun, H Song, KM Sohn, ...
US Patent 9,859,022, 2018
122018
Stack bank type semiconductor memory apparatus capable of improving alignment margin
K Seung-Wook, S Shin, KS Song
US Patent 9,123,395, 2015
122015
System package
DU Lee, SH Shin
US Patent App. 13/720,533, 2014
122014
Device and system including adaptive repair circuit
S Shin, LEE Hae-Suk, J Han-Vit, KM Sohn
US Patent 9,727,409, 2017
102017
Repair circuit and repair method of semiconductor apparatus
XH Cui, JW Lee, SH Shin
US Patent 8,514,641, 2013
102013
Test circuit and method of semiconductor integrated circuit
SH Shin, TY Lee
US Patent App. 13/421,087, 2012
82012
Semiconductor device and method for driving the same
S Shin, HD Lee, JW Lee, HH Choi
US Patent 8,171,358, 2012
82012
Semiconductor circuit apparatus
SH Shin
US Patent App. 12/833,066, 2011
82011
A 1.6 V 3.3 Gb/s GDDR3 DRAM with dual-mode phase-and delay-locked loop using power-noise management with unregulated power supply in 54nm CMOS
HW Lee, WJ Yun, YK Choi, HH Choi, JJ Lee, KH Kim, SD Kang, JY Yang, ...
2009 IEEE International Solid-State Circuits Conference-Digest of Technical …, 2009
82009
Semiconductor apparatus
SH Shin, KS Lee
US Patent 8,687,443, 2014
72014
Semiconductor device
S Shin, H Lee, J Choi
US Patent 7,973,590, 2011
62011
Design of non-contact 2Gb/s I/O test methods for high bandwidth memory (HBM)
H Lee, S Kang, HS Yu, WJ Yun, JH Jung, S Ahn, WS Kim, B Kil, YC Sung, ...
2016 IEEE Asian Solid-State Circuits Conference (A-SSCC), 169-172, 2016
52016
Integrated circuit
DU Lee, S Shin
US Patent App. 13/716,296, 2013
52013
Semiconductor memory apparatus and test method thereof
TS Yun, HD Lee, JG Choi, SJ Byeon, SH Shin
US Patent 8,300,496, 2012
52012
현재 시스템이 작동되지 않습니다. 나중에 다시 시도해 주세요.
학술자료 1–20