Æȷοì
Renzhi Liu
Renzhi Liu
Research Scientist, Intel Labs
intel.comÀÇ À̸ÞÀÏ È®ÀεÊ
Á¦¸ñ
Àοë
Àοë
¿¬µµ
Building trusted ICs using split fabrication
K Vaidyanathan, PB Das, E Sumbul, R Liu, L Pileggi
Hardware-Oriented Security and Trust (HOST), 2014 IEEE International ¡¦, 2014
154*2014
Efficient and secure intellectual property (IP) design with split fabrication
K Vaidyanathan, R Liu, E Sumbul, Q Zhu, F Franchetti, L Pileggi
2014 IEEE international symposium on hardware-oriented security and trust ¡¦, 2014
842014
A cm-scale self-powered intelligent and secure IoT edge mote featuring an ultra-low-power SoC in 14nm tri-gate CMOS
T Karnik, D Kurian, P Aseron, R Dorrance, E Alpman, A Nicoara, R Popov, ...
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 46-48, 2018
542018
An 802.11 ba-based wake-up radio receiver with Wi-Fi transceiver integration
R Liu, AB KT, R Dorrance, D Dasalukunte, V Kristem, MAS Lopez, AW Min, ...
IEEE Journal of Solid-State Circuits 55 (5), 1151-1164, 2019
342019
Low-overhead self-healing methodology for current matching in current-steering DAC
R Liu, L Pileggi
IEEE Transactions on Circuits and Systems II: Express Briefs 62 (7), 651-655, 2015
242015
A charge domain SRAM compute-in-memory macro with C-2C ladder-based 8-bit MAC unit in 22-nm FinFET process for edge inference
H Wang, R Liu, R Dorrance, D Dasalukunte, D Lake, B Carlton
IEEE Journal of Solid-State Circuits 58 (4), 1037-1050, 2023
232023
A 32.2 TOPS/W SRAM compute-in-memory macro employing a linear 8-bit C-2C ladder for charge domain computation in 22nm for edge inference
H Wang, R Liu, R Dorrance, D Dasalukunte, X Liu, D Lake, B Carlton, ...
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and ¡¦, 2022
222022
A 264-µW 802.15. 4a-compliant IR-UWB transmitter in 22nm FinFET for wireless sensor network application
R Liu, BR Carlton, S Pellerano, F Sheikh, DS Vemparala, A Ali, ...
2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 164-167, 2018
212018
Rethinking ASIC design with next generation lithography and process integration
K Vaidyanathan, R Liu, L Liebmann, K Lai, A Strojwas, L Pileggi
Design for Manufacturability through Design-Process Integration VII 8684, 74-88, 2013
202013
Design implications of extremely restricted patterning
K Vaidyanathan, R Liu, L Liebmann, K Lai, AJ Strojwas, L Pileggi
Journal of Micro/Nanolithography, MEMS, and MOEMS 13 (3), 031309-031309, 2014
182014
Exploiting sub-20-nm complementary metal-oxide semiconductor technology challenges to design affordable systems-on-chip
K Vaidyanathan, Q Zhu, L Liebmann, K Lai, S Wu, R Liu, Y Liu, A Strojwas, ...
Journal of Micro/Nanolithography, MEMS, and MOEMS 14 (1), 011007-011007, 2015
152015
An 802.11ba 495¥ìW -92.6dBm-Sensitivity Blocker-Tolerant Wake-up Radio Receiver Fully Integrated with Wi-Fi Transceiver
R Liu, AB KT, R Dorrance, D Dasalukunte, MAS Lopez, V Kristem, S Azizi, ...
2019 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 2019
122019
A wideband RF receiver with> 80 dB harmonic rejection ratio
R Liu, L Pileggi, JA Weldon
Proceedings of the IEEE 2014 Custom Integrated Circuits Conference, 1-4, 2014
112014
An ultra-low power, fully integrated wake-up receiver and digital baseband with all-digital impairment correction and-92.4 dBm sensitivity for 802.11 ba
R Dorrance, R Liu, KTA Beevi, D Dasalukunte, MAS Lopez, V Kristem, ...
2019 Symposium on VLSI Circuits, C80-C81, 2019
62019
Extended statistical element selection: A calibration method for high resolution in analog/RF designs
R Liu, JA Weldon, L Pileggi
Proceedings of the 53rd Annual Design Automation Conference, 1-6, 2016
62016
Energy efficient BNN accelerator using CiM and a time-interleaved Hadamard digital GRNG in 22nm CMOS
R Dorrance, D Dasalukunte, H Wang, R Liu, B Carlton
2022 IEEE Asian Solid-State Circuits Conference (A-SSCC), 2-4, 2022
42022
A wideband RF receiver with extended statistical element selection based harmonic rejection calibration
R Liu, L Pileggi, JA Weldon
Integration 52, 185-194, 2016
42016
Evaluating the benefits of relaxed BEOL pitch for deeply scaled ICs
MM Isgenc, S Pagliarini, R Liu, L Pileggi
2017 18th International Symposium on Quality Electronic Design (ISQED), 180-185, 2017
32017
A 2-Gb/s UWB transceiver for short-range reconfigurable FDD wireless networks
R Liu, AB KT, R Dorrance, TF Cox, R Jain, T Acikalin, Z Zhou, TY Yang, ...
IEEE Journal of Solid-State Circuits, 2023
22023
Antenna assembly for integrated circuit package
Z Zhou, TY Yang, S Yamada, T Acikalin, JE Pelaez, K Foust, J Mix, R Liu
US Patent App. 17/357,658, 2022
22022
ÇöÀç ½Ã½ºÅÛÀÌ ÀÛµ¿µÇÁö ¾Ê½À´Ï´Ù. ³ªÁß¿¡ ´Ù½Ã ½ÃµµÇØ ÁÖ¼¼¿ä.
ÇмúÀÚ·á 1–20