Follow
Zhehui Wang
Zhehui Wang
Agency for Science, Technology and Research (A*STAR)
Verified email at ihpc.a-star.edu.sg
Title
Cited by
Cited by
Year
A NoC traffic suite based on real applications
W Liu, J Xu, X Wu, Y Ye, X Wang, W Zhang, M Nikdast, Z Wang
2011 IEEE computer society annual symposium on VLSI, 66-71, 2011
1492011
3-D mesh-based optical network-on-chip for multiprocessor system-on-chip
Y Ye, J Xu, B Huang, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1242013
Formal worst-case analysis of crosstalk noise in mesh-based optical networks-on-chip
Y Xie, M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (10 …, 2012
952012
Crosstalk noise in WDM-based optical networks-on-chip: A formal study and comparison
M Nikdast, J Xu, LHK Duong, X Wu, X Wang, Z Wang, Z Wang, P Yang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (11 …, 2014
922014
Systematic analysis of crosstalk noise in folded-torus-based optical networks-on-chip
M Nikdast, J Xu, X Wu, W Zhang, Y Ye, X Wang, Z Wang, Z Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
752014
Suor: Sectioned undirectional optical ring for chip multiprocessor
X Wu, J Xu, Y Ye, Z Wang, M Nikdast, X Wang
ACM Journal on Emerging Technologies in Computing Systems (JETC) 10 (4), 1-25, 2014
692014
System-level modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (2), 292-305, 2012
632012
Novel multibridge-structured piezoelectric microdevice for scanning force microscopy
J Chu, Z Wang, R Maeda, K Kataoka, T Itoh, T Suga
Journal of Vacuum Science & Technology B: Microelectronics and Nanometer …, 2000
622000
System-level modeling and analysis of thermal effects in WDM-based optical networks-on-chip
Y Ye, Z Wang, P Yang, J Xu, X Wu, X Wang, M Nikdast, Z Wang, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2014
542014
JADE: A heterogeneous multiprocessor system simulation platform using recorded and statistical application models
RKV Maeda, P Yang, X Wu, Z Wang, J Xu, Z Wang, H Li, LHK Duong, ...
Proceedings of the 1st International Workshop on Advanced Interconnect …, 2016
522016
Floorplan optimization of fat-tree-based networks-on-chip for chip multiprocessors
Z Wang, J Xu, X Wu, Y Ye, W Zhang, M Nikdast, X Wang, Z Wang
IEEE Transactions on Computers 63 (6), 1446-1459, 2012
502012
Fat-tree-based optical interconnection networks under crosstalk noise constraint
M Nikdast, J Xu, LHK Duong, X Wu, Z Wang, X Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (1), 156-169, 2014
492014
Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system
Z Wang, Z Tian, J Xu, RKV Maeda, H Li, P Yang, Z Wang, LHK Duong, ...
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 684-689, 2017
442017
An inter/intra-chip optical network for manycore processors
X Wu, J Xu, Y Ye, X Wang, M Nikdast, Z Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (4), 678-691, 2014
442014
A case study of signal-to-noise ratio in ring-based optical networks-on-chip
LHK Duong, M Nikdast, S Le Beux, J Xu, X Wu, Z Wang, P Yang
IEEE Design & Test 31 (5), 55-65, 2014
412014
An analytical study of power delivery systems for many-core processors using on-chip and off-chip voltage regulators
X Wang, J Xu, Z Wang, KJ Chen, X Wu, Z Wang, P Yang, LHK Duong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
332015
Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks
LHK Duong, Z Wang, M Nikdast, J Xu, P Yang, Z Wang, Z Wang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
302016
High-radix nonblocking integrated optical switching fabric for data center
Z Wang, J Xu, P Yang, Z Wang, LHK Duong, X Chen
Journal of Lightwave Technology 35 (19), 4268-4281, 2017
242017
Modeling and analysis of thermal effects in optical networks-on-chip
Y Ye, J Xu, X Wu, W Zhang, X Wang, M Nikdast, Z Wang, W Liu
2011 IEEE Computer Society Annual Symposium on VLSI, 254-259, 2011
232011
Low-loss high-radix integrated optical switch networks for software-defined servers
Z Wang, Z Wang, J Xu, P Yang, LHK Duong, Z Wang, H Li, RKV Maeda
Journal of Lightwave Technology 34 (18), 4364-4375, 2016
222016
The system can't perform the operation now. Try again later.
Articles 1–20